Mens Tennis Forums banner

Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF & Play-offs

8K views 99 replies 21 participants last post by  OnTheRun 
#1 · (Edited)
Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF & Play-offs



What is Tennis Tipping?

In the game, participants pick the winners of all main draw matches and are placed in a knock-out system. That means each participant plays a different participant and whoever predicted the winner of most matches correctly, moves on to the next round. The same applies for doubles, but you're in a team format and your scores are combined.

Note:
- In case of a tie, a tie-breaker will decide which player wins.

- In case a player (i.e. the actual ATP Player) retires in a match, this match will be counted as long as one point has been played. If players are replaced by a lucky loser after the start of Tennis Tipping, these matches will be cancelled.


How to Sign-Up:

Sign Up for Davis Cup has already taken place. Weekend ties:

WG I SF

Germany (c) vs Great Britain
Singapore vs (2) USA (c)


WG I Play-offs

(1) Russia (c)* vs Spain
(2) Argentina (c)* vs Norway
(3) Serbia vs Austria (c)*
(4) Greece vs Egypt (c)*
(5) Brazil vs Israel (c)*
(6) Monaco vs Croatia (c)*
(7) Portugal vs Hungary (c)*
(8) Ukraine vs France (c)*


Please read the TT Rules for more information

TT Rules Thread
TT Rules - PDF


How to send in picks:


Picks can be sent in as soon as the draws are ready. Remember, you pick a winner in every match. Picks should be sent by PM, so that your opponent does not know your picks. Picks should be titled: [TT Davis Cup, day #]. Picks can be sent in anytime until the beginning of play each day. If you're still in both singles & doubles, you use the same tips for both. For Davis Cup doubles, you tip the Davis Cup doubles rubbers. No changes can be made after the play has started.

Additional Rules

1. Players will be allowed to make tactical changes to the doubles and reverse singles up until the start of play for that day - the captains must pm me to inform me before the days' play starts though.

2. Non playing members of each team may send me in their tips each day as backup incase one of the other members of their team doesn't send in their tips.

3. Any matches where the lineups change in the ATP matches will not count in singles. For doubles, players will tip the country rather than the players so will have to use their judgement to determine who is likely to play after the first days' singles results.

4. Dead rubbers played on the final day will not count for TT purposes.

PRECAUTIONARY MEASURE

If the board goes down as has happened before, send your picks to murilo_esseka@hotmail.com.
 
See less See more
1
#2 · (Edited)
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

TT Davis Cup 2014 World Group R1

Day 1
Matches counted: 20
Matches remaining: 0


Day 2
Matches counted: 10
Matches remaining: 0


Day 3
Matches counted: 9
Matches remaining: 0


Germany (c) 5:0 Great Britain

Location: Porsche Arena, Stuttgart
Stadium capacity: 7500
Surface: Polyethylene terephthalate
Ball type: Wilson

Germany (c)
Euroquitter
ZackBusner
Herzeleid
Kirilenko-Fan
Captain: Euroquitter

Great Britain
Hellcat
sdtoot
Sham Kay
guille&tati4life
Captain: sdtoot


18:16 | Euroquitter vs. Sham Kay #SRs: 11-11
18:17 | ZackBusner vs. Hellcat #SRs: 7-11
13:08 | Kirilenko-Fan/Herzeleid vs. sdtoot/Hellcat #SRs: 3-4
07:00 | Herzeleid vs. guille&tati4life #SRs: 2-0
06:06 | ZackBusner vs. sdtoot #SRs: 3-2


Singapore 0:3 (2) USA (c)

Location:
Stadium capacity:
Surface:
Ball type:

Singapore
balloon
silverwhite


Captain: balloon

(2) USA (c)
Allez-Alejo
savesthedizzle
Freak3yman84

Captain: savesthedizzle


00:15 | balloon vs. Freak3yman84 #SRs: 0-11
00:16 | silverwhite vs. savesthedizzle #SRs: 0-14
00:11 | balloon/silverwhite vs. savesthedizzle*/Freak3yman84 #SRs: 0-5
00:00 | balloon vs. savesthedizzle #SRs: 0-0 NOT PLAYED
00:00 | silverwhite vs. Allez-Alejo #SRs: 0-0 NOT PLAYED


TT Davis Cup 2014 World Group I Play-offs

(1) Russia (c)* 5:0 Spain

Location:
Stadium capacity:
Surface:
Ball type:

(1) Russia (c)*
Dmitry Bond
kondrashov


Captain: Dmitry Bond

Spain
VamosRafaNadal
extremaduratenis
gak3

Captain: extremaduratenis


17:17 | Dmitry Bond vs. gak3* #SRs: 12-11
17:17 | kondrashov vs. VamosRafaNadal #SRs: 13-8
14:11 | Dmitry Bond/kondrashov vs. extremaduratenis/gak3 #SRs: 7-5
07:07 | Dmitry Bond vs. VamosRafaNadal #SRs: 4-3
07:06 | kondrashov vs. gak3 #SRs: 4-4


(2) Argentina (c)* 2:3 Norway

Location:
Stadium capacity:
Surface:
Ball type:

(2) Argentina (c)*
Gallina
Pauchis
Marita

Captain: Marita

Norway
bry17may
Litotes
SamR03A

Captain: Litotes


16:16 | Gallina vs. SamR03A #SRs: 10-12
17:16 | Pauchis vs. Litotes #SRs: 10-10
12:16 | Gallina/Marita vs. bry17may/Litotes #SRs: 6-6
06:07 | Gallina vs. bry17may #SRs: 4-3
06:06 | Marita vs. SamR03A #SRs: 4-3


(3) Serbia 2:3 Austria (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(3) Serbia
Igorche
Andreas
ajde!

Captain: Igorche

Austria (c)*
joe87
cserkinho
0

Captain: joe87


17:16 | Igorche vs. cserkinho #SRs: 11-9
17:16 | Andreas vs. joe87 #SRs: 12-14
08:14 | Andreas/ajde! vs. joe87/cserkinho #SRs: 6-7
07:07 | Igorche vs. joe87 #SRs: 3-5
07:07 | Andreas* vs. cserkinho #SRs: 3-5


(4) Greece 3:1 Egypt (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(4) Greece
Belludal
Ilovetheblues_86
GustavoM_Fan

Captain: Ilovetheblues_86

Egypt (c)*
abollo
batates
FEDERER97
Karimshokeir
Captain: abollo


18:16 | Belludal vs. Karimshokeir* #SRs: 11-11
18:16 | Ilovetheblues_86* vs. abollo #SRs: 11-11
10:07 | GustavoM_Fan/Ilovetheblues_86 vs. abollo/FEDERER97 #SRs: 4-4
07:07 | Belludal vs. abollo #SRs: 2-5
00:00 | Ilovetheblues_86 vs. batates #SRs: 0-0 NOT PLAYED


(6) Monaco 0:5 Croatia (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(6) Monaco
JMG
scarecrows
ExcaliburII

Captain: JMG

Croatia (c)*
Blue Heart24
samanosuke
_A_

Captain: Blue Heart24


16:17 | scarecrows vs. _A_ #SRs: 7-12
16:18 | ExcaliburII vs. samanosuke #SRs: 8-8
08:13 | JMG/ExcaliburII vs. Blue Heart24*/_A_ #SRs: 8-6
00:08 | scarecrows vs. samanosuke #SRs: 0-3
07:07 | JMG vs. Blue Heart24 #SRs: 0-2


(8) Ukraine 3:2 France (c)*

Location: Deauville, France
Stadium capacity: 3000
Surface: Grass
Ball type: Gamma Championship All Court

(8) Ukraine
Cazorla
digor


Captain: Cazorla

France (c)*
trucul
Normand
supertom
Soliloque
Captain: supertom


17:16 | Cazorla vs. supertom #SRs: 11-10
18:18 | digor vs. Normand* #SRs: 12-8
07:13 | Cazorla/digor vs. Soliloque/supertom* #SRs: 4-5
07:05 | Cazorla vs. Normand #SRs: 3-2
07:07 | digor vs. Soliloque #SRs: 3-5
 
#3 · (Edited)
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Draws - TT DC 2014


World Group I


Seeded teams:

(1) Russia
(2) USA
(3) Argentina
(4) Serbia
(5) Greece
(6) Canada
(7) Brazil
(8) Netherlands


Draw:

F (November/2014)

Germany (c) vs (2) USA


SF (September/2014)

Germany (c) 5:0 Great Britain
Singapore 0:3 (2) USA (c)

QF (April/2014)

Germany 3:2 (8) Netherlands (c)*
Great Britain 3:2 (6) Canada (c)*
Bulgaria 2:3 Singapore (c)
Romania 2:3 (2) USA (c)

R16 (February/2014 - Winning nations qualify for WG I 2015)


(1) Russia (c) 1:4 Germany
(8) Netherlands (c)* 3:2 Egypt
(3) Argentina 2:3 Great Britain (c)*
(6) Canada 4:1 Ukraine (c)
Bulgaria 4:1 (5) Greece (c)*
Singapore (c)* 3:2 (4) Serbia
Romania 3:2 (7) Brazil (c)*
Portugal (c) 2:3 (2) USA


World Group Play-offs


Seeded teams:

(1) Russia
(2) Argentina
(3) Serbia
(4) Greece
(5) Brazil
(6) Monaco
(7) Portugal
(8) Ukraine


Draw

September/2013 - Winning nations qualify for WG I 2015


(1) Russia (c)* 5:0 Spain
(2) Argentina (c)* 2:3 Norway
(3) Serbia 2:3 Austria (c)*
(4) Greece 3:1 Egypt (c)*
(5) Brazil 0:0 Israel (c)* WO
(6) Monaco 0:5 Croatia (c)*
(7) Portugal vs Hungary (c)* WO
(8) Ukraine 3:2 France (c)*


World Group II


Seeded teams:

(1) Monaco
(2) Croatia
(3) Switzerland
(4) Poland
(5) Chile
(6) Sweden
(7) India
(8) China


Draw:


R1 (February/2014 - Winning nations advance to WG I Playoffs)


(1) Monaco vs bye
(2) Croatia vs bye
(3) Switzerland (c)* 1:4 Norway
(4) Poland (c)* 2:3 Hungary
(5) Chile (c) 0:4 Austria
(6) Sweden (c)* 2:3 Israel
(7) India 0:5 Spain (c)*
(8) China (c)* 0:5 France


(s) = Seeded
(c) = Choice of ground
* = Choice of ground decided by lot

Past results

2013
2012
2011
2010
2009
2008
2007
2006
 
#4 · (Edited)
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Weekend ties

France vs Czeck Republic (Paris, France - Clay)
Switzerland vs Italy (Geneva, Switzerland - Indoor hard)
India vs Serbia (Bangalore, India - Hard)
Brazil vs Spain (Sao Paulo, Brazil - Indoor clay)
Israel vs Argentina (Sunrise, USA - Hard)
Canada vs Colombia (Halifax, Canada - Indoor hard)
USA vs Slovakia (Chicago, USA - Indoor hard)
Australia vs Uzbekistan (Perth, Australia - Grass)
Netherlands vs Croatia (Amsterdam, Netherlands - Indoor clay)
Ukraine vs Belgium - (Tallinn, Estonia - Indoor hard)
 
#5 · (Edited)
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Schedule of Play

SUNDAY

Dudi SELA (ISR) vs Leonardo MAYER (ARG) SR1 PTS
Dudi SELA (ISR) vs Federico DELBONIS (ARG) SR1 PTS
Igor SIJSLING (NED) vs Borna CORIC (CRO) SR2 PTS
Igor SIJSLING (NED) vs Marin CILIC (CRO) SR2 PTS
Thiemo DE BAKKER (NED) vs Borna CORIC (CRO) SR2 PTS
Illya MARCHENKO (UKR) vs Steve DARCIS (BEL) SR3 PTS
Illya MARCHENKO (UKR) vs Ruben BEMELMANS (BEL) SR3 PTS
Denis MOLCHANOV (UKR) vs Steve DARCIS (BEL) SR3 PTS
Denis MOLCHANOV (UKR) vs Ruben BEMELMANS (BEL) SR3 PTS
Thomaz BELLUCCI (BRA) vs Roberto BAUTISTA AGUT (ESP) SR4 PTS
Sergiy STAKHOVSKY (UKR) vs David GOFFIN (BEL) SR5 PTS
Thiemo DE BAKKER (NED) vs Mate DELIC (CRO) SR6 PTS
Thiemo DE BAKKER (NED) vs Marin CILIC (CRO) SR6 PTS (SR2 PTS if 5th rubber)
Robin HAASE (NED) vs Mate DELIC (CRO) SR6 PTS
Robin HAASE (NED) vs Marin CILIC (CRO) SR6 PTS
Yuki BHAMBRI (IND) vs Filip KRAJINOVIC (SRB) SR7 PTS
Yuki BHAMBRI (IND) vs Iljia BOZOLJAC (SRB) SR7 PTS
Somdev DEVVARMAN (IND) vs Dusan LAJOVIC (SRB) SR8 PTS
Somdev DEVVARMAN (IND) vs Iljia BOZOLJAC (SRB) SR8 PTS
Rogerio DUTRA SILVA (BRA) vs Pablo ANDUJAR (ESP) SR9 PTS
Bar Tzuf BOTZER (ISR) vs Carlos BERLOCQ (ARG) SR10 PTS
Bar Tzuf BOTZER (ISR) vs Federico DELBONIS (ARG) SR10 PTS
Vasek POSPISIL (CAN) vs Alejandro GONZALEZ (COL) SR11 PTS
Frank DANCEVIC (CAN) vs Alejandro GONZALEZ (COL) SR11 PTS
Milos RAONIC (CAN) vs Santiago GIRALDO (COL) SR12 PTS


*Note: Dead rubbers played on the final day will not count for TT purposes.
*PTS/SR => Best of 5 sets. Last names only please. :ras: Play starts at 12:00 noon CEST/1 PM Tallinn time (deadline). Send your picks to keqtqiadv :awww: Good luck :D
*** Please send winners/scores for all the matches, even if you want to pick the same winner and SR for two/three of them.

Ex:

Blake vs Ljubo
Blake vs Bibon
Blake vs Saretta


Correct:

Blake 3-2
Blake 3-2
Blake 3-2


Wrong:

Blake 3-2

Wrong:

Blake 3-2 (vs Ljubo)
Blake 3-2 (vs Bibon)
Blake 3-2 (vs Saretta)


There are 25 matches in the OOP, so there must be 25 picks in your PM.

SATURDAY

FRANCE vs CZECH REPUBLIC SR1 PTS
INDIA vs SERBIA SR2 PTS
BRAZIL vs SPAIN SR3 PTS
NETHERLANDS vs CROATIA SR4 PTS
CANADA vs COLOMBIA SR5 PTS
SWITZERLAND vs ITALY SR6 PTS
UKRAINE vs BELGIUM SR7 PTS
ISRAEL vs ARGENTINA SR8 PTS
AUSTRALIA vs UZBEKISTAN SR9 PTS
USA vs SLOVAKIA SR10 PTS


*DOUBLES MATCHES
**PTS/SR => Best of 5 sets.
Last names only please. :ras: Play starts at 6 AM CEST/12 noon Perth time (deadline). Send your picks to keqtqiadv :awww: Good luck :D
For doubles, players will tip the country rather than the players so will have to use their judgement to determine who is likely to play after the first days' singles results.
FRIDAY

Richard GASQUET (FRA) vs Tomas BERDYCH (CZE) SR1 PTS

Thomaz BELLUCCI (BRA) vs Pablo ANDUJAR (ESP) SR2 PTS

Somdev DEVVARMAN (IND) vs Filip KRAJINOVIC (SRB) SR3 PTS

Igor SIJSLING (NED) vs Mate DELIC (CRO) SR4 PTS

Vasek POSPISIL (CAN) vs Santiago GIRALDO (COL) SR5 PTS
Sergiy STAKHOVSKY (UKR) vs Steve DARCIS (BEL) SR6 PTS
Dudi SELA (ISR) vs Carlos BERLOCQ (ARG) SR7 PTS
Yuki BHAMBRI (IND) vs Dusan LAJOVIC (SRB) SR8 PTS
Sam QUERREY (USA) vs Martin KLIZAN (SVK) SR9 PTS
Nick KYRGIOS (AUS) vs Denis ISTOMIN (UZB) SR10 PTS
Robin HAASE (NED) vs Borna CORIC (CRO) SR11 PTS
Jo-Wilfried TSONGA (FRA) vs Lukas ROSOL (CZE) SR12 PTS
Bar Tzuf BOTZER (ISR) vs Leonardo MAYER (ARG) SR13 PTS
Stanislas WAWRINKA (SUI) vs Fabio FOGNINI (ITA) SR14 PTS
John ISNER (USA) vs Norbert GOMBOS (SVK) SR15 PTS
Lleyton HEWITT (AUS) vs Farrukh DUSTOV (UZB) SR16 PTS
Milos RAONIC (CAN) vs Alejandro GONZALEZ (COL) SR17 PTS
Illya MARCHENKO (UKR) vs David GOFFIN (BEL) SR18 PTS
Rogerio DUTRA SILVA (BRA) vs Roberto BAUTISTA AGUT (ESP) SR19 PTS
Roger FEDERER (ITA) vs Simone BOLELLI (ITA) SR20 PTS


*PTS/SR => Best of 5 sets.
Last names only please. :ras: Play starts at 4 AM CEST/10 AM Perth time (deadline). Send your picks to keqtqiadv :awww: Good luck :D

 
#7 · (Edited)
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

TT News & Info​

Rules - Davis Cup

TT Rules & Questions said:
6.3 Davis Cup

6.3.1 Nationalities

- Nationality changes can only be done during the off-season (until the first tournament of the season begins).
- After a nationality switch, players aren’t allowed to change nations for 2 years.


6.3.2 Entries - players


- Each nation will be led by a team captain.
- The choice of the captains is based on the previous year’s singles ranking. The best ranked player of each country will lead their nation in TT DC throughout the whole year.
- The players (minimum of 2 and maximum of 4 players) are selected by the team captains, according to their own criteria.
- The nominated players are not allowed to play challengers during the Davis Cup weeks.
- Ranking points (introduced in 2014): check the ranking rules.

6.3.3 Entries – nations


- 16 nations participate in the World Group I: the 8 nations who won their first round tie in the previous World Group I and the eight winners of the World Group I Play-off ties.
- From 9 to 32 nations (which are not in WG I) participate in the World Group II.
- Nations must confirm their participation in the beginning of each season.
- A nation must have at least two players to be able to participate.

6.3.4 Competition draw


- World Group I:
· 16 nations participate.
· 8 nations are seeded.
· Seeds 1 & 2 are the finalists of the previous year’s competition.
· Seeds 3, 4, 5, 6, 7 & 8 should be ordered in accordance with the most recent Davis Cup Nations Ranking.
· Seeds 1 and 2 should be placed in positions 1 and 16 respectively. Seeds 3 and 4 should be drawn first into position 5 and then position 12. Seeds 5 and 6 should be drawn first into position 7 and then position 10. Seeds 7 and 8 should be drawn first into position 3 and then position 14.
· Four rounds are played: R16 in February, QF in April, SF in September & F in November.
- World Group II:
· From 9 to 32 nations participate.
· 8 nations are seeded, according to the most recent Davis Cup Nations Ranking.
· From one to two rounds are played (two rounds if there are more than 16 participants): First round in February & Second round (if necessary) in April.
· 8 nations are promoted to the World Group Play-offs
- World Group Play-off:
· 16 nations participate: the losing nations from the first round of the World Group I and the nations promoted from the World Group II.
· 8 nations are seeded, according to the most recent Davis Cup Nations Ranking.
· One round is played in September.
· The 8 winning nations are promoted to the World Group I.
· The 8 losing nations are demoted to the World Group II.

6.3.5 Tie draw


- The Number One ranked player of each team shall play against the Number Two ranked player of the opposing team on the first day, and the order of play shall be decided by lot. The Number One ranked player of each team shall play the third singles match. The Number Two ranked players shall play the fourth singles match.
- After the Draw has been made, no variation may be made in the composition of the singles team for the first and second singles matches.
- A Captain may change the nomination of the singles players for the third and fourth singles matches until the scheduled start of play. Any substitute nominated for the third or fourth singles match must be selected from among the players nominated for the tie and who has not competed in the first or second singles matches.
- A Captain may vary the composition of the doubles team until at the scheduled start of play for the doubles match.

6.3.6 Davis Cup Nations Ranking


- The TT Davis Cup Nations Ranking is used for seeding at all levels of the Davis Cup competition, except for seeds one and two in the World Group, who shall be the finalists of the previous year’s competition.
- The TT Davis Cup Nations Ranking is based on the results of each nation in the last 4 years.
- After each round the ranking period adjusts and any results outside the adjusted four year period drop off. At the same time points from the same round for the three previous years are reduced.
- Nations that defeat a higher-ranked nation receive bonus points according to their opponent's ranking.
- Points table:




TT Links

Singles Rank
Doubles Rank

TB rules

Tennis Tipping Rules & Questions thread
Tennis Tipping Rules & Questions (pdf)
Managers thread
Ask the Board thread
World Clock
Tennis Tipping Statistics Website
Davis Cup Rankings

 
#11 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Josef is captain of Austrian DC team, while Austria does not have team in Fed Cup (and Josef is a board member at TF). It is so unacceptable



Prepare to lose Josef

:armed:

There's is now a 2nd constant player from my country, so it's possible that we finally have a Fed Cup team in 2015 again. :cool:
 
  • Like
Reactions: Andreas
#10 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

In for captain of France.
Cazorla & ukrain get ready, France is waiting this since February!
 
#13 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Deadlines

Friday August 29th 18:00 CEST - Team nominations.


Great Britain: sdtoot
Singapore: balloon
USA: savesthedizzle
Russia: Dmitry Bond
Spain: extremaduratenis
Serbia: Igorche
Austria: joe87
Brazil: sergiodmm
Israel: Federer in 2
Croatia: tennismaster882001 (Blue Heart24)
Portugal: mcarvalho
Hungary: Sonja1989
Ukraine: Cazorla
France: supertom
 
#14 ·
Team Austria:

joe87
cserkinho
luppy55

:bounce:
 
#15 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Deadlines

Friday August 29th 18:00 CEST - Team nominations.


Singapore: balloon
USA: savesthedizzle
Russia: Dmitry Bond
Spain: extremaduratenis
Brazil: sergiodmm
Israel: Federer in 2
Hungary: Sonja1989 (valac222?)
Ukraine: Cazorla
France: supertom
 
#16 · (Edited)
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Team France:

supertom
trucul
soliloque
Normand
 
#17 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Spain Team:

- VamosRafaNadal
- Extremaduratenis
- gak3
 
#18 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Ukraine Team:
Ukraine Team:


Cazorla
digor
 
#19 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Deadlines

Friday August 29th 18:00 CEST - Team nominations.


Singapore: balloon
USA: savesthedizzle
Russia: Dmitry Bond
Brazil: sergiodmm
Israel: Federer in 2
Hungary: Sonja1989 (valac222?)
 
#22 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

Russia Team:
Russia Team:
Russia Team:

1. Dmitry Bond
2. kondrashov
 
#25 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

TT Davis Cup 2014 World Group R1

Day 1
Matches counted: 0
Matches remaining: 0


Day 2
Matches counted:' 0
Matches remaining: 0


Day 3
Matches counted: 0
Matches remaining: 0


Germany (c) 0:0 Great Britain

Location: Porsche Arena, Stuttgart
Stadium capacity: 7500
Surface: Polyethylene terephthalate
Ball type: Wilson

Germany (c)
Herzeleid
Kirilenko-Fan
ZackBusner
Euroquitter
Captain: Euroquitter

Great Britain
sdtoot
Hellcat
Sham Kay
guille&tati4life
Captain: sdtoot


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


Singapore 0:0 (2) USA (c)

Location:
Stadium capacity:
Surface:
Ball type:

Singapore
balloon
silverwhite


Captain: balloon

(2) USA (c)
savesthedizzle
Allez-Alejo
Freak3yman84

Captain: savesthedizzle


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


TT Davis Cup 2014 World Group I Play-offs

(1) Russia (c)* 0:0 Spain

Location:
Stadium capacity:
Surface:
Ball type:

(1) Russia (c)*
Dmitry Bond
kondrashov


Captain: Dmitry Bond

Spain
VamosRafaNadal
extremaduratenis
gak3

Captain: extremaduratenis


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


(2) Argentina (c)* 0:0 Norway

Location:
Stadium capacity:
Surface:
Ball type:

(2) Argentina (c)*
Gallina
Pauchis
Marita

Captain: Marita

Norway
bry17may
Litotes
SamR03A

Captain: Litotes


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


(3) Serbia 0:0 Austria (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(3) Serbia
Igorche
Andreas
ajde!

Captain: Igorche

Austria (c)*
joe87
cserkinho
luppy55

Captain: joe87


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


(4) Greece 0:0 Egypt (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(4) Greece
Ilovetheblues_86
Belludal
GustavoM_Fan

Captain: Ilovetheblues_86

Egypt (c)*
abollo
FEDERER97
karimshokeir
batates
Captain: abollo


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


(5) Brazil 0:0 Israel (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(5) Brazil
jahrastaman_BR
jackjones
Rodrigo R3
César Luiz
Captain: sergiodmm

Israel (c)*




Captain: Federer in 2


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


(6) Monaco 0:0 Croatia (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(6) Monaco
JMG
scarecrows
ExcaliburII

Captain: JMG

Croatia (c)*
Blue Heart24
samanosuke
_A_

Captain: Blue Heart24


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


(7) Portugal 0:0 Hungary (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(7) Portugal
mcarvalho
Mesut Ozil
Sauletekis
hugocnamaral
Captain: mcarvalho

Hungary (c)*




Captain: Sonja1989


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???


(8) Ukraine 0:0 France (c)*

Location:
Stadium capacity:
Surface:
Ball type:

(8) Ukraine
Cazorla
digor


Captain: Cazorla

France (c)*
supertom
trucul
soliloque
Normand
Captain: supertom


00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
00:00 | ??? vs ???
 
#27 ·
Re: Tennis Tipping Davis Cup 2014 - September/2014 - WG I SF/Play-offs

The very last deadline for Israel & Hungary to send their team nominations is tomorrow (Saturday) 6 PM CEST. After that time, if these teams aren't confirmed, Brazil & Portugal will be given WO's and their players will be able to play challengers in the DC week.
 
This is an older thread, you may not receive a response, and could be reviving an old thread. Please consider creating a new thread.
Top