Mens Tennis Forums banner

Tennis Tipping Davis Cup 2012 - September/2012 - WG SF/Play-offs

16K views 151 replies 38 participants last post by  Euroquitter 
#1 · (Edited)
Tennis Tipping Davis Cup 2012 - September 2012 - WG I SF/Play-offs



What is Tennis Tipping?

In the game, participants pick the winners of all main draw matches and are placed in a knock-out system. That means each participant plays a different participant and whoever predicted the winner of most matches correctly, moves on to the next round. The same applies for doubles, but you're in a team format and your scores are combined.

Note:
- In case of a tie, a tie-breaker will decide which player wins.

- In case a player (i.e. the actual ATP Player) retires in a match, this match will be counted as long as one point has been played. If players are replaced by a lucky loser after the start of Tennis Tipping, these matches will be cancelled.


How to Sign-Up:

Sign Up for Davis Cup has already taken place. Weekend ties:

Serbia vs Greece (c)*
Brazil (c)* vs (2) USA
(1) Argentina (c) vs Bulgaria
(2) Monaco (c)* vs Russia
(3) France vs Croatia (c)*
(4) Romania vs India (c)
(5) Portugal (c)* vs Poland
(6) Germany (c)* vs Sweden
(7) Switzerland (c)* vs Chile
(8) Australia (c) vs Finland


Please read this post for more information

http://www.menstennisforums.com/show...14&postcount=1

How to send in picks:

Picks can be sent in as soon as the draws are ready. Remember, you pick a winner in every match. Picks should be sent by PM, so that your opponent does not know your picks. Picks should be titled: [TT Davis Cup, day #]. Picks can be sent in anytime until the beginning of play each day. If you're still in both singles & doubles, you use the same tips for both. For Davis Cup doubles, you tip the Davis Cup doubles rubbers. No changes can be made after the play has started.

Additional Rules

1. Players will be allowed to make tactical changes to the doubles and reverse singles up until the start of play for that day - the captains must pm me to inform me before the days' play starts though.

2. Non playing members of each team may send me in their tips each day as backup incase one of the other members of their team doesn't send in their tips.

3. Any matches where the lineups change in the ATP matches will not count in singles. For doubles, players will tip the country rather than the players so will have to use their judgement to determine who is likely to play after the first days' singles results.

4. Dead rubbers played on the final day will not count for TT purposes.

PRECAUTIONARY MEASURE

If the board goes down as has happened before, send your picks to murilo_esseka@hotmail.com.
 
See less See more
1
#2 · (Edited)
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

TT Davis Cup 2012 World Group SF

Day 1
Matches counted: 19
Matches remaining: 0


Day 2
Matches counted: 10
Matches remaining: 0


Day 3
Matches counted: 9
Matches remaining: 0

Serbia 3:2 Greece (c)*

Location: Athens Olympic Tennis Centre
Stadium Capacity: 8,600
Surface: Hard (Plexicushion)
Ball type: Kostas Kastoria

Serbia
Crvena Zvezda
andrijagajic
Andreas
Captain: Andreas


Greece
GustavoM_Fan
kostas kastoria
BrazilTBfan
Captain: GustavoM_Fan


18:14 | Andreas vs. GustavoM_Fan #SRs: 7-8
17:16 | Crvena Zvezda* vs. BrazilTBfan #SRs: 8-6

10:14 | andrijagajic/Crvena Zvezda vs. BrazilTBfan/GustavoM_Fan #SRs: 5-6

08:07 | Andreas vs. BrazilTBfan #SRs: 5-5
07:07 | Crvena Zvezda vs. GustavoM_Fan #SRs: 4-5


Brazil (c)* 1:4 (2) USA

Brazil
Tytta!.
Belludal
JeffCandoi
keqtqiadv
Captain: keqtqiadv


USA
savesthedizzle
Deboogle!.
Bibberz
Allez-Alejo
Captain: Allez-Alejo


18:19 | keqtqiadv vs. Deboogle!. #SRs: 9-7
15:19 | JeffCandoi vs. savesthedizzle #SRs: 7-8

13:09 | Tytta!./keqtqiadv vs. Allez-Alejo/Bibberz #SRs: 7-3

06:06 | Tytta!. vs. savesthedizzle #SRs: 3-4
06:06 | Belludal vs. Deboogle!. #SRs: 3-4


TT Davis Cup 2012 World Group Play-offs

(1) Argentina (c) 1:4 Bulgaria

Argentina
FiBeR
manu86
Captain: FiBeR


Bulgaria
vexxx
Marto
kr1s71an
A_Skywalker
Captain: vexxx


17:18 | FiBeR vs. A_Skywalker #SRs: 8-8
16:18 | manu86 vs. Marto #SRs: 8-9

12:09 | FiBeR/manu86 vs. kr1s71an/vexxx #SRs: 6-7

05:07 | FiBeR vs. kr1s71an #SRs: 3-4
05:05 | manu86 vs. vexxx #SRs: 3-4


(2) Monaco (c)* 1:4 Russia

Monaco
JMG
ExcaliburII
Captain: ExcaliburII


Russia
Dmitry Bond
kondrashov
nickelS
Redguard
Captain: Dmitry Bond


18:16 | JMG vs. nickelS #SRs: 10-7
18:19 | ExcaliburII vs. kondrashov #SRs: 10-9

06:10 | JMG/ExcaliburII vs. kondrashov/Dmitry Bond #SRs: 3-3

06:07 | JMG vs. Redguard #SRs: 3-2
06:06 | ExcaliburII vs. Dmitry Bond #SRs: 3-4


(3) France 0:4 Croatia (c)*

France
*Jean*
Colt th Magnific
Captain: *Jean*


Croatia
tennismaster882001
Blue Heart24
_A_
Captain: _A_


17:17 | *Jean* vs. _A_ #SRs: 7-11
16:18 | Colt th Magnific vs. tennismaster882001 #SRs: 11-8

11:11 | *Jean*/Colt th Magnific vs. _A_/Blue Heart24 #SRs: 4-6

00:06 | *Jean* vs. tennismaster882001 #SRs: 0-4
00:00 | Colt th Magnific vs. Blue Heart24 #SRs: 0-0


(4) Romania 3:2 India (c)

Romania
Eddy DoubleD
nole no1
traddles
Captain: Eddy DoubleD


India
FERNET
maru_angi
Captain: FERNET


17:16 | Eddy DoubleD vs. maru_angi #SRs: 8-7
18:16 | nole_no1 vs. FERNET #SRs: 9-7

03:10 | traddles/Eddy DoubleD vs. FERNET/maru_angi #SRs: 3-5

05:06 | traddles vs. FERNET #SRs: 3-4
07:06 | nole_no1 vs. maru_angi #SRs: 3-4


(5) Portugal (c)* 1:4 Poland

Portugal
mcarvalho
volc0mizado
lolada_4
hugocnamaral

Captain: mcarvalho


Poland
mateusz2904
Tomek.
Spain07
Captain: mateusz2904


16:18 | volc0mizado vs. Tomek. #SRs: 10-10
17:19 | hugocnamaral vs. mateusz2904 #SRs: 8-9

08:10 | mcarvalho/volc0mizado vs. mateusz2904*/Tomek. #SRs: 3-4

05:06 | lolada_4 vs. mateusz2904 #SRs: 3-3
07:06 | hugocnamaral* vs. Tomek.* #SRs: 1-5


(6) Germany (c)* 4:1 Sweden

Germany
bavaria100
Boarder35m
Eurofighter
ZackBusner
Captain: ZackBusner


Sweden
jahrastaman_BR
nicksimioni
Direnan
Captain: Direnan


18:17 | ZackBusner vs. nicksimioni #SRs: 9-7
16:16 | bavaria100 vs. Direnan #SRs: 7-7, Shootout: SR1

12:11 | Boarder35m/Eurofighter vs. Direnan/jahrastaman_BR #SRs: 7-7

06:06 | Eurofighter vs. jahrastaman_BR #SRs: 4-2
06:06 | Boarder35m vs. nicksimioni #SRs: 5-4


(7) Switzerland (c)* 3:2 Chile


Switzerland
maldini
Nando_L
Captain: maldini


Chile
stbanchile
Chilenaitor
juakos17
Captain: stbanchile


18:18 | Nando_L vs. stbanchile #SRs: 9-6
00:17 | maldini vs. juakos17 #SRs: 0-7

10:09 | Nando_L/maldini vs. stbanchile/Chilenaitor #SRs: 3-5

06:00 | Nando_L vs. juakos17 #SRs: 4-0
06:06 | maldini vs. Chilenaitor #SRs: 4-5


(8) Australia (c)
vs Finland

Australia
Captain: Bolar Bolabi
 
#3 · (Edited)
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Draws - TT DC 2012


World Group I


Seeded teams:

(1) Argentina
(2) USA
(3) Monaco
(4) Romania
(5) Portugal
(6) Netherlands
(7) Singapore
(8) Finland


Draw:

F (December/2012)

Serbia (c) vs (2) USA

SF (September/2012)


Serbia 3:2 Greece (c)*
Brazil (c)* 1:4 (2) USA

QF (April/2012)

Serbia 3:2 Great Britain (c)*
Canada 1:4 Greece (c)*
(6) Netherlands 2:3 Brazil (c)
(7) Singapore (c) 2:3 (2) USA

R16 (February/2012 - Winning nations qualify for WG I 2013)


(1) Argentina 2:3 Serbia (c)
(8) Finland (c) 0:5 Great Britain
(3) Monaco 2:3 Canada (c)*
(5) Portugal 0:5 Greece (c)*
Croatia 2:3 (6) Netherlands (c)*
Brazil (c)* 3:2 (4) Romania
India (c)* 1:3 (7) Singapore
Russia (c)* 1:4 (2) USA


World Group Play-offs


Seeded teams:

(1) Argentina
(2) Monaco
(3) France
(4) Romania
(5) Portugal
(6) Germany
(7) Switzerland
(8) Australia

Draw

September/2012 - Winning nations qualify for WG I 2013


(1) Argentina (c) 1:4 Bulgaria
(2) Monaco (c)* 1:4 Russia
(3) France 0:4 Croatia (c)*
(4) Romania 3:2 India (c)
(5) Portugal (c)* 1:4 Poland
(6) Germany (c)* 4:1 Sweden
(7) Switzerland (c)* 3:2 Chile
(8) Australia (c) def Finland WO


World Group II


Seeded teams:

(1) France
(2) Germany
(3) Switzerland
(4) Australia
(5) Bulgaria
(6) Ukraine
(7) Sweden
(8) Chile


Draw:


R1 (February/2012 - Winning nations advance to WG I Playoffs)


(1) France vs bye
(2) Germany (c)* 3:2 Lithuania
(3) Switzerland (c)* 4:1 Turkey
(4) Australia (c)* 3:2 China
(5) Bulgaria 4:1 Spain (c)*
(6) Ukraine (c)* 1:4 Poland
(7) Sweden (c)* 3:2 Austria
(8) Chile (c)* 4:1 Egypt


(s) = Seeded
(c) = Choice of ground
* = Choice of ground decided by lot

Past results

2011
2010
2009
2008
2007
2006
 
#4 · (Edited)
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Schedule of Play

SUNDAY

Juan Martin DEL POTRO (ARG) vs Tomas BERDYCH (CZE) SR1 PTS
Cedrik-Marcel STEBE (GER) vs Lleyton HEWITT (AUS) SR2 PTS
Juan MONACO (ARG) vs Radek STEPANEK (CZE) SR3 PTS
Evgeny KOROLEV (KAZ) vs Farrukh DUSTOV (UZB) SR4 PTS
Andrey GOLUBEV (KAZ) vs Farrukh DUSTOV (UZB) SR4 PTS
Florian MAYER (GER) vs Bernard TOMIC (AUS) SR5 PTS
Mikhail KUKUSHKIN (KAZ) vs Denis ISTOMIN (UZB) SR6 PTS
Kei NISHIKORI (JPN) vs Dudi SELA (ISR) SR7 PTS
Tatsuma ITO (JPN) vs Dudi SELA (ISR) SR7 PTS
Yuichi SUGITA (JPN) vs Dudi SELA (ISR) SR7 PTS
Nicolas ALMAGRO (ESP) vs Sam QUERREY (USA) SR8 PTS
Go SOEDA (JPN) vs Amir WEINTRAUB (ISR) SR9 PTS
David FERRER (ESP) vs John ISNER (USA) SR10 PTS
Andreas SEPPI (ITA) vs Paul CAPDEVILLE (CHI) SR11 PTS
Thiemo DE BAKKER (NED) vs Stanislas WAWRINKA (SUI) SR12 PTS
Fabio FOGNINI (ITA) vs Guillermo HORMAZABAL (CHI) SR13 PTS
Fabio FOGNINI (ITA) vs Jorge AGUILAR (CHI) SR13 PTS
Robin HAASE (NED) vs Roger FEDERER (SUI) SR14 PTS
Vasek POSPISIL (CAN) vs Nikala SCHOLTZ (RSA) SR15 PTS
Vasek POSPISIL (CAN) vs Raven KLAASEN (RSA) SR15 PTS
Milos RAONIC (CAN) vs Izak VAN DER MERWE (RSA) SR16 PTS


*Note: Dead rubbers played on the final day will not count for TT purposes.
*PTS/SR => Best of 5 sets. Last names only please. :ras: Play starts at 6 AM CEST/4 AM GMT/1 PM Tokyo time (deadline). Send your picks to keqtqiadv :awww: (Brazil & USA must send picks to Boarder35m). Good luck :D

*** Please send winners/scores for all the matches, even if you want to pick the same winner and SR for two/three of them.

Ex:

Blake vs Ljubo
Blake vs Bibon
Blake vs Saretta


Correct:

Blake 3-2
Blake 3-2
Blake 3-2


Wrong:

Blake 3-2

Wrong:

Blake 3-2 (vs Ljubo)
Blake 3-2 (vs Bibon)
Blake 3-2 (vs Saretta)


There are 21 matches in the OOP, so there must be 21 picks in your PM.
SATURDAY

GERMANY vs AUSTRALIA SR1 PTS
KAZAKHSTAN vs UZBEKISTAN SR2 PTS
BELGIUM vs SWEDEN SR3 PTS
ARGENTINA vs CZECH REPUBLIC SR4 PTS
NETHERLANDS vs SWITZERLAND SR5 PTS
SPAIN vs USA SR6 PTS
JAPAN vs ISRAEL SR7 PTS
BRAZIL vs RUSSIA SR8 PTS
ITALY vs CHILE SR9 PTS
CANADA vs SOUTH AFRICA SR10 PTS


*Doubles matches.
*PTS/SR => Best of 5 sets. Play starts at 6 AM CEST/4 AM GMT/1 PM Tokyo time (deadline). Send your picks to keqtqiadv :awww: (Brazil & USA must send picks to Boarder35m). Good luck :D

FRIDAY


Nicolas ALMAGRO (ESP) vs John ISNER (USA) SR1 PTS
Florian MAYER (GER) vs Lleyton HEWITT (AUS) SR2 PTS
Go SOEDA (JPN) vs Dudi SELA (ISR) SR3 PTS
Juan MONACO (ARG) vs Tomas BERDYCH (CZE) SR4 PTS
Cedrik-Marcel STEBE (GER) vs Bernard TOMIC (AUS) SR5 PTS
Evgeny KOROLEV (KAZ) vs Denis ISTOMIN (UZB) SR6 PTS
Rogerio DUTRA SILVA (BRA) vs Igor ANDREEV (RUS) SR7 PTS
Vasek POSPISIL (CAN) vs Izak VAN DER MERWE (RSA) SR8 PTS
Robin HAASE (NED) vs Stanislas WAWRINKA (SUI) SR9 PTS
Juan Martin DEL POTRO (ARG) vs Radek STEPANEK (CZE) SR10 PTS
Fabio FOGNINI (ITA) vs Paul CAPDEVILLE (CHI) SR11 PTS
Thomaz BELLUCCI (BRA) vs Teymuraz GABASHVILI (RUS) SR12 PTS
Mikhail KUKUSHKIN (KAZ) vs Farrukh DUSTOV (UZB) SR13 PTS
David FERRER (ESP) vs Sam QUERREY (USA) SR14 PTS
David GOFFIN (BEL) vs Andreas VINCIGUERRA (SWE) SR15 PTS
Kei NISHIKORI (JPN) vs Amir WEINTRAUB (ISR) SR16 PTS
Andreas SEPPI (ITA) vs Guillermo HORMAZABAL (CHI) SR17 PTS
Steve DARCIS (BEL) vs Michael RYDERSTEDT (SWE) SR18 PTS
Thiemo DE BAKKER (NED) vs Roger FEDERER (SUI) SR19 PTS
Milos RAONIC (CAN) vs Nikala SCHOLTZ (RSA) SR20 PTS


*PTS/SR => Best of 5 sets.
Last names only please. :ras: Play starts at 6 AM CEST/4 AM GMT/1 PM Tokyo time (deadline). Send your picks to keqtqiadv :awww: (Brazil & USA must send picks to Boarder35m). Good luck :D
 
#5 · (Edited)
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Weekend ties

Spain vs USA
Argentina vs Czech Republic
Kazakhstan vs Uzbekistan
Germany vs Australia
Japan vs Israel
Belgium vs Sweden
Canada vs South Africa
Brazil vs Russia
Italy vs Chile
Netherlands vs Switzerland
 
#7 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

TT News & Info

Guide - Davis Cup

TT FAQ/Guide said:
1) Choice of ground

If one Nation has been entitled to choice for its Tie with another Nation, the latter shall have choice on the occasion of the next meeting with that Nation. If this is not applicable, then choice shall be decided by lot.

2) Tie draw

a) After the Draw has been made, no variation may be made in the composition of the singles team for the first and second singles matches.
b) A Captain may change the nomination of the singles players for the third and fourth singles matches until at least one hour before the scheduled start of play. Any substitute nominated for the third or fourth singles match must be selected from among the players nominated for the Tie and who has not competed in the first or second singles matches.
c) A Captain may vary the composition of the doubles team until at least one hour before the scheduled start of play for the doubles match.
d) The Number One ranked player of each team shall play against the Number Two ranked player of the opposing team on the first day, and the order of play shall be decided by lot. The Number One ranked player of each team shall play the third singles match. The Number Two ranked players shall play the fourth singles match.

3) World Group & World Group II

(a) Participation

The leading 16 Nations shall participate in the World Group: The eight Nations who won their first round Tie in the previous World Group and the eight winners of the World Group Play-off Ties.

(b)Seeds

(i) Eight Nations shall be seeded. Seeds 1 and 2 shall be the Finalists of the year immediately prior to the year for which the seeds are being selected and seeds 3-8 shall be in accordance with the most recent Davis Cup Nations Ranking.
(ii) Seeds shall be placed or drawn, as follows:
Seeds 1 and 2 shall be placed in positions 1 and 16 respectively. Seeds 3 and 4 shall be drawn first into position 5 and then position 12. Seeds 5 and 6 shall be drawn first into position 7 and then position 10. Seeds 7 and 8 shall be drawn first into position 3 and then position 14.

(c) World Group Play-off

There will be World Group Play-off Ties comprised of the losing Nations from the first round in the World Group and the Nations promoted from the World Group II. Eight Nations shall be seeded. Their selection shall be made by the Davis Cup Committee in accordance with most recent Davis Cup Nations Ranking.

(d) World Group II

9-32 nations (which are not in WG I) participate. Eight Nations shall be seeded. One (9-16 teams) or two (17-32 teams) rounds played. Eight Nations are promoted to the World Group Play-off.

4) Davis Cup Rankings

Tennis Tipping Davis Cup Nations Ranking

The TT Davis Cup Nations Ranking is used as the sole criteria for seeding at all levels of the Davis Cup competition. However seeds one and two in the World Group shall be the Finalists of the year immediately prior to the year for which the seeds are being selected, and seeds 3-8 shall be in accordance with the latest Ranking. The ITF Davis Cup Nations Ranking is published following every World Group round. All nations are ranked.

The ITF Davis Cup Nations Ranking measures the success of all Nations participating in the Competition over a 'rolling' four year period with recent performance weighted more heavily.

After each round the ranking period adjusts and any results outside the adjusted four year period drop off. At the same time points from the same round for the three previous years are reduced as per the points table below. Therefore, 100 points would become 75, then 50, then 25 over the four years.

The points stated are awarded to the winning team in that round. (A nation losing in the World Group first round, for example, earns 0 points for that tie.) In addition, any Nation that defeats a higher-ranked Nation receives bonus points according to its opponent's ranking as detailed in the table below.


TT Links

Singles Rank
Doubles Rank

TB rules
Managers thread
Rules thread
World Clock
FAQ/Guide
Tennis Tipping Statistics Website
Davis Cup Rankings
 
#8 · (Edited)
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Deadlines

Wednesday 29th August 6:00 PM CEST - Teams confirmed.

Wednesday 12th September 18:00 CEST - Captains confirm line ups for first round ties.
Then, the team captains need to confirm their line ups to me by 4th April, i.e. who is playing singles and doubles. This only applies to teams with 3 or 4 players as teams with only 2 will automatically play singles and doubles.
 
#11 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

I will confirm Sweden Team in this week. :wavey:
 
#12 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

in for india

team India

fernet
maru angi
 
#14 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Confirmed Sweden Team:

Jahrastaman_Br
NickSimioni
Direnan (Captain)
 
#15 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Deadlines

Wednesday 29th August 6:00 PM CEST - Teams confirmed.


Brazil - keqtqiadv
USA - Allez-Alejo
Bulgaria - vexxx
Monaco - ExcaliburII
Russia - Dmitry Bond
France - *Jean*
Croatia - _A_
Romania - lucian_iasi
Portugal - mcarvalho
Poland - mateusz2904
Germany - ZackBusner
Switzerland - maldini
Australia - Bolar Bolabi
Finland - Labamba
 
#16 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Team Switzerland in
 
#17 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Less than three days left :awww:

Wednesday 29th August 6:00 PM CEST - Teams confirmed.


Monaco - ExcaliburII
Russia - Dmitry Bond
France - *Jean*
Croatia - _A_
Romania - lucian_iasi
Portugal - mcarvalho
Poland - mateusz2904
Germany - ZackBusner
Switzerland - maldini
Australia - Bolar Bolabi
Finland - Labamba
 
#24 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Russian Team:
Dmitry Bond
kondrashov
nickelS
Redguard
 
#25 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

team france :
*Jean*
Colt th magnific
 
#26 ·
Re: Tennis Tipping Davis Cup 2012 - September/2012 - WG I SFs/Play-offs

Polish Team:
mateusz2904
Spain07
 
This is an older thread, you may not receive a response, and could be reviving an old thread. Please consider creating a new thread.
Top