Mens Tennis Forums banner

Tennis Tipping Davis Cup - July/2011 - WG I QF

11K views 68 replies 15 participants last post by  FiBeR 
#1 ·
Tennis Tipping Davis Cup 2011 - July 2011 - WG I QF




What is Tennis Tipping?

In the game, participants pick the winners of all main draw matches and are placed in a knock-out system. That means each participant plays a different participant and whoever predicted the winner of most matches correctly, moves on to the next round. The same applies for doubles, but you're in a team format and your scores are combined.

Note:
- In case of a tie, a tie-breaker will decide which player wins.
- In case a player (i.e. the actual ATP Player) retires in a match, this match will be counted as long as one point has been played. If players are replaced by a lucky loser after the start of Tennis Tipping, these matches will be cancelled.

How to Sign-Up:

Players (2, 3 or 4) are chosen by the team captains. Weekend ties:

WG I QF

(1) Argentina (c)* vs (8) Serbia
Netherlands (c) vs (5) Portugal
Monaco vs (4) Romania (c)*
(7) Singapore vs (2) USA (c)

Please read this post for more information
http://www.menstennisforums.com/show...14&postcount=1

How to send in picks:

Picks can be sent in as soon as the draws are ready. Remember, you pick a winner in every match. Picks should be sent by PM, so that your opponent does not know your picks. Picks should be titled: [TT Davis Cup, day #]. Picks can be sent in anytime until the beginning of play each day. If you're still in both singles & doubles, you use the same tips for both. For Davis Cup doubles, you tip the Davis Cup doubles rubbers. No changes can be made after the play has started.

Additional Rules

1. Players will be allowed to make tactical changes to the doubles and reverse singles up until the start of play for that day - the captains must pm me to inform me before the days' play starts though.

2. Non playing members of each team may send me in their tips each day as backup incase one of the other members of their team doesn't send in their tips.

3. Any matches where the lineups change in the ATP matches will not count in singles. For doubles, players will tip the country rather than the players so will have to use their judgement to determine who is likely to play after the first days' singles results.

4. Dead rubbers played on the final day will not count for TT purposes.

PRECAUTIONARY MEASURE

If the board goes down as has happened before, send your picks to murilo_esseka@hotmail.com.
 
See less See more
1
#56 · (Edited)
Re: Tennis Tipping Davis Cup - July/2011 - WG I QF - Sunday: deadline = 10 AM CEST

SUNDAY - Update #2

01:02 | TankingTheSet*** vs. mcarvalho (SRs: 1:2) - FERRER, Verdasco, HAASE, CAMPOZANO, Campozano vs. FISH, Fish, ANDERSON, POSPISIL, Polansky
SR Differences: Roddick 3-1 v 3-0, FERRER 3-0 vs. FISH 3-1, Verdasco 3-1 vs. Fish 3-0, HAASE 3-1 vs. ANDERSON 3-1, CAMPOZANO 3-1 vs. POSPISIL 3-1, Campozano 3-1 vs. Polansky 3-1

02:02 | Sheva vs. Peplin (SRs: 2:1) - BESTER, CAMPOZANO vs. ENDARA, POSPISIL
SR Differences: RODDICK 3-1 v 3-2, Roddick 3-0 v 3-1, FERRER 3-1 v 3-2, Fish 3-1 v 3-2, BESTER 3-1 vs. ENDARA 3-1, POLANSKY 3-1 v 3-2, CAMPOZANO 3-1 vs. POSPISIL 3-2, TIPSAREVIC 3-0 v 3-1


02:02 | JMG** vs. georg (SRs: 2:1) - FERRER, ENDARA vs. FISH, BESTER
SR Differences: RODDICK 3-1 v 3-0, FERRER 3-1 vs. FISH 3-0, Fish 3-1 v no SR, ANDERSON 3-1 v 3-2, ENDARA 3-1 vs. BESTER 3-0, POLANSKY 3-1 v 3-0, POSPISIL 3-1 v no SR, Polansky 3-1 v 3-0

02:02 | ExcaliburII vs. nole_no1 (SRs 1:2) - FISH, BESTER, POLANSKY, POSPISIL vs. FERRER, ENDARA, Endara, CAMPOZANO
SR Differences: FISH 3-1 vs. FERRER 3-2, ANDERSON 3-0 v ANDERSON 3-1, BESTER 3-0 vs. ENDARA 3-1, POLANSKY 3-0 vs. Endara 3-2, POSPISIL 3-1 vs. CAMPOZANO 3-2, Polansky 3-1 v 3-2


02:01 | savesthedizzle vs. silverwhite (SRs 2:1) - RODDICK, VERDASCO, Verdasco, ANDERSON, BESTER, POLANSKY, Polansky vs. LOPEZ, Roddick, Fish, HAASE, ENDARA, Endara, Campozano
SR Differences: RODDICK 3-1 vs. LOPEZ 3-2, VERDASCO 3-1 vs. Roddick 3-1, Verdasco 3-0 vs. Fish 3-1, ANDERSON 3-1 vs. HAASE 3-2, BESTER 3-0 vs. ENDARA 3-1, POLANSKY 3-0 vs. Endara 3-1, CAMPOZANO 3-0 v 3-1, Polansky 3-0 vs. Campozano 3-1

Matches remaining:
RODDICK vs LOPEZ
Roddick vs VERDASCO
FISH vs FERRER
Fish vs Verdasco
ENDARA vs BESTER
Endara vs POLANSKY
CAMPOZANO vs POSPISIL
Campozano vs Polansky

Matches counted:
ANDERSON vs HAASE
Ryderstedt vs TIPSAREVIC
 
#58 ·
Re: Tennis Tipping Davis Cup - July/2011 - WG I QF - Sunday: deadline = 10 AM CEST

SUNDAY - Update #3

01:03 | TankingTheSet*** vs. mcarvalho (SRs: 1:2) - FERRER, HAASE, CAMPOZANO vs. FISH, ANDERSON, POSPISIL
SR Differences: Roddick 3-1 v 3-0, FERRER 3-0 vs. FISH 3-1, HAASE 3-1 vs. ANDERSON 3-1, CAMPOZANO 3-1 vs. POSPISIL 3-1

02:03 | Sheva vs. Peplin (SRs: 2:1) - BESTER, CAMPOZANO vs. ENDARA, POSPISIL
SR Differences: RODDICK 3-1 v 3-2, Roddick 3-0 v 3-1, FERRER 3-1 v 3-2, BESTER 3-1 vs. ENDARA 3-1, POLANSKY 3-1 v 3-2, CAMPOZANO 3-1 vs. POSPISIL 3-2, TIPSAREVIC 3-0 v 3-1


03:03 | JMG** vs. georg (SRs: 2:1) - FERRER, ENDARA vs. FISH, BESTER
SR Differences: RODDICK 3-1 v 3-0, FERRER 3-1 vs. FISH 3-0, ANDERSON 3-1 v 3-2, ENDARA 3-1 vs. BESTER 3-0, POLANSKY 3-1 v 3-0, POSPISIL 3-1 v no SR

03:02 | ExcaliburII vs. nole_no1 (SRs 1:2) - FISH, BESTER, POLANSKY, POSPISIL vs. FERRER, ENDARA, Endara, CAMPOZANO
SR Differences: FISH 3-1 vs. FERRER 3-2, ANDERSON 3-0 v ANDERSON 3-1, BESTER 3-0 vs. ENDARA 3-1, POLANSKY 3-0 vs. Endara 3-2, POSPISIL 3-1 vs. CAMPOZANO 3-2


02:01 | savesthedizzle vs. silverwhite (SRs 2:1) - RODDICK, VERDASCO, ANDERSON, BESTER, POLANSKY vs. LOPEZ, Roddick, HAASE, ENDARA, Endara
SR Differences: RODDICK 3-1 vs. LOPEZ 3-2, VERDASCO 3-1 vs. Roddick 3-1, ANDERSON 3-1 vs. HAASE 3-2, BESTER 3-0 vs. ENDARA 3-1, POLANSKY 3-0 vs. Endara 3-1, CAMPOZANO 3-0 v 3-1

Matches remaining:

RODDICK vs LOPEZ
Roddick vs VERDASCO
FISH vs FERRER
ENDARA vs BESTER
Endara vs POLANSKY


Matches counted:
ANDERSON vs HAASE
CAMPOZANO vs POSPISIL
Ryderstedt vs TIPSAREVIC
 
#59 ·
Re: Tennis Tipping Davis Cup - July/2011 - WG I QF - Sunday: deadline = 10 AM CEST

SUNDAY - Update #4

02:03 | TankingTheSet*** vs. mcarvalho (SRs: 1:2) - FERRER, HAASE, CAMPOZANO vs. FISH, ANDERSON, POSPISIL
SR Differences: FERRER 3-0 vs. FISH 3-1, HAASE 3-1 vs. ANDERSON 3-1, CAMPOZANO 3-1 vs. POSPISIL 3-1

03:04 | Sheva vs. Peplin (SRs: 3:1) - BESTER, CAMPOZANO vs. ENDARA, POSPISIL
SR Differences: FERRER 3-1 v 3-2, BESTER 3-1 vs. ENDARA 3-1, CAMPOZANO 3-1 vs. POSPISIL 3-2, TIPSAREVIC 3-0 v 3-1


04:03 | JMG** vs. georg (SRs: 3:1) - FERRER, ENDARA vs. FISH, BESTER
SR Differences: FERRER 3-1 vs. FISH 3-0, ANDERSON 3-1 v 3-2, ENDARA 3-1 vs. BESTER 3-0, POSPISIL 3-1 v no SR

03:03 | ExcaliburII vs. nole_no1 (SRs 1:2) - FISH, BESTER, POSPISIL vs. FERRER, ENDARA, CAMPOZANO
SR Differences: FISH 3-1 vs. FERRER 3-2, ANDERSON 3-0 v ANDERSON 3-1, BESTER 3-0 vs. ENDARA 3-1, POSPISIL 3-1 vs. CAMPOZANO 3-2


03:02 | savesthedizzle vs. silverwhite (SRs 2:1) - ANDERSON, BESTER vs. HAASE, ENDARA
SR Differences: ANDERSON 3-1 vs. HAASE 3-2, BESTER 3-0 vs. ENDARA 3-1, CAMPOZANO 3-0 v 3-1

Matches remaining:

ENDARA vs BESTER


Matches counted:
FISH vs FERRER
ANDERSON vs HAASE
CAMPOZANO vs POSPISIL
Ryderstedt vs TIPSAREVIC
 
#64 ·
Re: Tennis Tipping Davis Cup - July/2011 - WG I QF - Sunday: deadline = 10 AM CEST

TT Davis Cup 2011 World Group R16

Day 1
Matches counted: 16
Matches remaining: 0


Day 2
Matches counted: 8
Matches remaining: 0


Day 3
Matches counted: 5
Matches remaining: 0

(1) Argentina (c)* 5:0 (8) Serbia

Location: Parque Roca, Buenos Aires
Surface: Clay
Ball type: Dunlop Roland Garros


Argentina
Mago#01
Nev
Gallina
Captain: Gallina

Serbia
dusan1610
andrijagajic
Captain: dusan1610

12:12 | Gallina vs. andrijagajic #SRs: 4-4, Shootout: SR1
11:00 | Mago#01 vs. dusan1610 #SRs: 3-0
14:07 | Nev/Gallina vs. dusan1610/andrijagajic #SRs: 9-7
03:00 | Nev vs. dusan1610 #SRs: 2-0
02:00 | Mago#01 vs. andrijagajic #SRs: 2-0


Netherlands (c) 3:2 (5) Portugal

Stadium: Ahoy, Rotterdam
Capacity: 10,000
Surface: Indoor Hard
Ball: Tecnifibre X-One


Netherlands
Sheva
TankingTheSet
Rik.
Captain: Rik.

Portugal
mcarvalho
scher
volc0mizado
Peplin
Captain: mcarvalho

11:11 | Sheva** vs. scher #SRs: 7-5
08:13 | TankingTheSet vs. volc0mizado #SRs: 6-8

15:14 | Sheva/TankingTheSet vs. mcarvalho/scher #SRs: 8-9
03:04 | TankingTheSet*** vs. mcarvalho #SRs: 1-2
04:04 | Sheva vs. Peplin #SRs: 3-1


**replaces Rik.
***replaces Rik.

Monaco 4:1 (4) Romania (c)*

Location: ???
Stadium capacity: ???
Surface: ???
Ball type: ???


Monaco
scarecrows
JMG
ExcaliburII
Captain: ExcaliburII

Romania
Sergyu18
ThePhenom
nole_no1
georg
Captain: georg

13:13 | JMG vs. ThePhenom #SRs: 7-7, Shootout: SR1
00:13 | scarecrows vs. georg #SRs: 0-5

16:14 | ExcaliburII/JMG vs. nole_no1/Sergyu18 #SRs: 10-8
04:04 | JMG** vs. georg #SRs: 3-2
04:03 | ExcaliburII vs. nole_no1 #SRs: 2-2


**replaces scarecrows. As Monaco's #1 singles player on day 1, must play rubber #4 (vs Romanian #1 georg) on day 3.

(7) Singapore 0:5 (2) USA (c)

Location: Newport, Rhode Island
Surface: Grass


Singapore
balloon
silverwhite
Buddy
Captain: balloon

USA
Deboogle!.
savesthedizzle
ostm89
(Q) Bibberz
Captain: ostm89

13:12 | Deboogle!. vs. silverwhite #SRs: 6-5
13:13 | savesthedizzle vs. balloon #SRs: 6-5

14:14 | savesthedizzle/(Q) Bibberz vs. silverwhite/Buddy #SRs: 11-8
04:00 | Deboogle!. vs. balloon #SRs: 3-0
04:02 | savesthedizzle vs. silverwhite #SRs: 3-1
 
#66 ·
Re: Tennis Tipping Davis Cup - July/2011 - WG I QF - Sunday: deadline = 10 AM CEST

SUNDAY - Awards

- Player of the day (best individual score)
ThePhenom (4 picks, 3 SRs, correct SR2, correct winner SR5, PTS1 13)


- Best TB - singles (best individual TB)
ThePhenom (3 SRs, correct SR2, correct winner SR5, PTS1 13)

- Bibon award - singles (worst individual score)
silverwhite (2 picks, 1 SR)

- bad luck award - singles (best losing score)
georg (4 picks, 2 SRs)

- Luck award - singles (worst winning score)
ExcaliburII (4 picks, 2 SRs, incorrect SR4)

- Match of the round - singles (highest combined score)
JMG vs georg (8 picks, 5 SRs)

- :zzz: award - singles (easiest win - pick/SR differences)
savesthedizzle (2 picks)

- Pick of the day (least picked winner)
ExcaliburII, mcarvalho, JMG, Peplin, georg (Pospisil)

- :cuckoo: award (least picked loser)
TankingTheSet, silverwhite (Haase)

- derossi award
TankingTheSet (Haase)

- Traitor award

###

- Risk taker award
###

- Bobby award (first player to send picks after the OOP is posted)
nole_no1

- Labamba award (last player to send picks)
silverwhite
 
#67 ·
Re: Tennis Tipping Davis Cup - July/2011 - WG I QF - Sunday: deadline = 10 AM CEST

SF (September/2011)

(1) Argentina (c) vs Netherlands
Monaco (c)* vs (2) USA

QF (July/2011)

(1) Argentina (c)* 5:0 (8) Serbia
Netherlands (c) 3:2 (5) Portugal
Monaco 4:1 (4) Romania (c)*
(7) Singapore 0:5 (2) USA (c)
 
This is an older thread, you may not receive a response, and could be reviving an old thread. Please consider creating a new thread.
Top